Preguntas frecuentes sobre el análisis y la optimización de energía

Preguntas frecuentes sobre las herramientas de optimización y análisis de energía Intel PowerPlay para Intel Quartus Prime Software.

Preguntas frecuentes

Preguntas frecuentes

Para Intel® Stratix® familias 10, Intel Agilex® 7 FPGAs y posteriores, la tecnología de análisis de potencia de Intel cuenta con la herramienta Calculadora térmica y de energía (PTC) y las herramientas de análisis de energía del software Intel® Quartus® Prime. Puede descargar la herramienta PTC desde el Centro de descargas de software FPGA seleccionando la última versión del software Intel Quartus Prime Pro y navegando hasta la pestaña Software adicional.

Para Intel® Arria® 10 partes y anteriores, la tecnología de análisis de energía de Intel cuenta con estimadores de potencia temprana (EPE) basados en Excel y herramientas de análisis de energía en el software Intel® Quartus® Prime. Puede descargar la EPE desde el sitio web de Intel para la familia de dispositivos específica.

El analizador de potencia está integrado con el software Intel Quartus Prime.

Estas herramientas de análisis de energía le brindan la capacidad de estimar el consumo de energía desde el concepto inicial del diseño hasta la implementación del diseño.

Para Intel® Stratix® familias 10, Intel Agilex® 7 FPGAs y posteriores, utilice la PTC para estimar el uso de energía de su diseño antes de crear el diseño o durante el proceso de diseño. Puede realizar un análisis térmico preliminar de su diseño y planificar la administración de energía.

Para familias de Intel® Arria® 10 años o anteriores, utilice el EPE para estimar el consumo de energía de su diseño antes de crear el diseño o durante el proceso de diseño. Puede realizar un análisis térmico preliminar de su diseño y planificar la administración de energía.

Utilice la herramienta de análisis de energía para obtener una estimación precisa de la potencia después de completar el diseño, asegurándose de que no se infrinjan los presupuestos térmicos y de suministro.

El analizador de potencia proporciona un marco flexible para especificar actividades de señal. Esto refleja la importancia de utilizar datos representativos de actividad de la señal durante el análisis de energía. Utilice las siguientes fuentes para proporcionar información sobre la actividad de señales:

  • Resultados de la simulación
  • Asignaciones de nodos, entidades y reloj introducidas por el usuario
  • Asignación de velocidad de alternancia predeterminada ingresada por el usuario
  • Estimación sin vectores

El analizador de potencia también le permite mezclar y combinar las fuentes de datos de actividad de la señal señal por señal.

La precisión de la estimación de potencia depende de la etapa del diseño. Para un diseño parcialmente completado o en la fase de concepto, utilice el PTC o EPE (según corresponda) para obtener una estimación de energía inicial. Para los diseños que se completan, la estimación de potencia basada en la simulación generada a partir del analizador de potencia proporciona una estimación de potencia precisa en comparación con las primeras estimaciones de potencia.

Para Intel® Stratix® 10, Agilex y versiones posteriores, la precisión del modelo de energía se determina por vía de alimentación para el Intel Quartus Prime Power Analyzer.

Para la mayoría de los diseños Intel® Stratix® 10, el analizador de potencia Intel Quartus Prime tiene la siguiente precisión, asumiendo los modelos de potencia finales: Dentro del 10% de silicio para la mayoría de los rieles de alimentación con mayor potencia, suponiendo entradas precisas y tasas de alternancia.

Para la mayoría de los diseños Intel Agilex, el analizador de potencia Intel Quartus Prime tiene la siguiente precisión, asumiendo modelos de energía finales: Dentro del 10% de silicio para todos los rieles de alimentación, suponiendo entradas precisas y tasas de alternancia.

Para Intel® Arria® 10 y anteriores, la herramienta de análisis de potencia es precisa (dentro del ±20%) del consumo de energía real del dispositivo, siempre que los vectores de entrada suministrados sean representativos de la operación de diseño típica. La precisión de los resultados del estimador de potencia inicial generalmente está dentro del ±20% de las estimaciones del analizador de potencia, suponiendo una entrada perfecta de velocidad de alternancia.

Sí. El analizador de energía basado en simulación es más preciso porque utiliza detalles de diseño como enrutamiento, colocación y resultados de simulación para mejorar la precisión.

La actividad de la señal y la información de probabilidad estática pueden derivarse de un archivo de volcado de cambio de valor (.vcd) generado por los simuladores EDA.

Consulte el capítulo 2.3.2.1. Uso de datos de actividad de señal de simulación en el análisis de energía de la Guía del usuario de Intel® Quartus® Prime Pro Edition: Análisis y optimización de energía, para obtener información sobre cómo generar un archivo .vcd.

El software Intel Quartus Prime ofrece compilación impulsada por energía para optimizar completamente el consumo de energía del dispositivo. La compilación impulsada por energía se centra en reducir el consumo total de energía de su diseño utilizando síntesis impulsada por energía y lugar y ruta impulsados por energía.

Para obtener información adicional sobre las diferentes técnicas de optimización de energía, consulte la Guía del usuario de Intel® Quartus® Prime Pro Edition: Análisis y optimización de energía

La tecnología de energía programable le permite programar la lógica central en FPGAs Stratix® III y Stratix® IV para alta velocidad o bajo consumo, según los requisitos de diseño. La tecnología de energía programable permite que las FPGAs Stratix III y Stratix IV proporcionen el menor consumo de energía y el más alto desempeño.

Por ejemplo, para establecer un transistor NMOS en el núcleo de Stratix IV FPGAs en:

  • Modo de bajo consumo, el software Intel Quartus Prime reduce el voltaje de polarización inversa (haciéndolo más negativo), lo que hace que el transistor sea más difícil de activar. Esto reduce la corriente de fuga y ahorra energía.
  • En el modo de alto desempeño, el software Intel Quartus Prime aumenta el voltaje de polarización inversa (haciéndolo menos negativo), lo que hace que el transistor sea más fácil de activar en las pocas rutas críticas para ayudar a cumplir con las restricciones de tiempo especificadas por el diseño y ofrecer el máximo desempeño.

El software Intel Quartus Prime controla automáticamente qué lógica funciona en modo de alta velocidad y cuál en modo de bajo consumo, según las restricciones de tiempo especificadas para el diseño.

Para obtener más información sobre la tecnología de energía programable, consulte la documentación técnica Administración de energía y ventajas de 40 nm .

Consulte la sección "Hoja de cálculo de informes" en la Guía del usuario del estimador de potencia inicial

Las estimaciones de la fuente de alimentación para cada densidad de dispositivo y combinación de encapsulado se encuentran en la pestaña "Report" del PTC/EPE. Utilice las características de energía "máxima" para ver la especificación de energía estática o de espera en el peor de los casos.

El contenido de esta página es una combinación de la traducción humana y automática del contenido original en inglés. Este contenido se ofrece únicamente para su comodidad como información general y no debe considerarse completa o precisa. Si hay alguna contradicción entre la versión en inglés de esta página y la traducción, prevalecerá la versión en inglés. Consulte la versión en inglés de esta página.