ID del artículo: 000090673 Tipo de contenido: Resolución de problemas Última revisión: 11/04/2023

¿Por qué falla mi diseño en el hardware al utilizar el software Intel® Quartus® Prime Pro Edition versión 22.1?

Entorno

  • Intel® Quartus® Prime Pro Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Problema crítico

    Descripción

    Debido a un problema en el software Intel® Quartus® Prime Pro Edition versión 22.1, es posible que vea fallas en el hardware cuando se muestra el siguiente mensaje de advertencia durante la etapa "Análisis y síntesis". Los diseños afectados tendrán una discrepancia entre RTL y la lista de redes mejoradas.

    Los diseños afectados por el problema de síntesis verán la siguiente advertencia en el archivo de informe de síntesis (*.syn.rpt).

    Advertencia (13228): La advertencia Verilog HDL o VHDL en <file>: el defparam bajo alcance de generación no puede cambiar los valores de los parámetros fuera de su jerarquía Archivo: <file>

    Resolución

    Hay un parche disponible para solucionar este problema en el Intel® Quartus® Software Prime Pro Edition versión 22.1. Descargue e instale el parche 0.05 desde el enlace correspondiente a continuación.

    Este problema se ha solucionado en todas las versiones futuras del software Intel® Quartus® Prime Pro Edition a partir de la versión 22.1.

    Productos relacionados

    Este artículo se aplica a 1 productos

    Dispositivos programables Intel®

    El contenido de esta página es una combinación de la traducción humana y automática del contenido original en inglés. Este contenido se ofrece únicamente para su comodidad como información general y no debe considerarse completa o precisa. Si hay alguna contradicción entre la versión en inglés de esta página y la traducción, prevalecerá la versión en inglés. Consulte la versión en inglés de esta página.