ID del artículo: 000074796 Tipo de contenido: Mensajes de error Última revisión: 19/10/2022

Error (14703): Modo de configuración interna no válido para el diseño con inicialización de memoria

Entorno

  • Intel® Quartus® Prime Standard Edition
  • Intel® Quartus® Prime Lite Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Descripción

    Es posible que vea este error al compilar un FIFO personalizado o un bloque de RAM en las versiones Intel® Quartus® Prime Software Standard o Lite para un dispositivo Intel® MAX® 10.

    Este error se ve porque Intel® MAX® variantes compactas de 10 dispositivos no admiten la inicialización de memoria. Si no proporcionó ningún archivo mif para su diseño personalizado y sigue viendo este error en Intel® Quartus®Prime Edition Software, puede ser porque el estilo de codificación de RTL inferido un archivo de mif

    Resolución

    La declaración de señal para la memory_type debe cambiarse de

    mem de señal: memory_type :=(otros = > (otros = > '0));

    Para

    indicador de señal: memory_type;

    Esto es para garantizar que la memoria no se inicialice y que no haya ningún error de compilación en la etapa de Assembler.

     

     

    Productos relacionados

    Este artículo se aplica a 1 productos

    FPGA Intel® MAX® 10

    El contenido de esta página es una combinación de la traducción humana y automática del contenido original en inglés. Este contenido se ofrece únicamente para su comodidad como información general y no debe considerarse completa o precisa. Si hay alguna contradicción entre la versión en inglés de esta página y la traducción, prevalecerá la versión en inglés. Consulte la versión en inglés de esta página.