Datos de informe de exportación a archivo CSV de Quartus® II Tcl

author-image

Por

Muchos diseñadores utilizan Excel en alguna etapa de un diseño FPGA. Exportar datos de un panel de informes Quartus II a un archivo CSV que se puede abrir en Excel es fácil exportar.

Este sencillo procedimiento exporta datos desde un panel de informe especificado y los escribe en un archivo. Un proyecto debe estar abierto cuando se llama a este procedimiento. A continuación, se muestra un ejemplo de cómo usarlo en un script.

proc panel_to_csv { panel_name csv_file } {

    set fh [open $csv_file w]
    load_report
    set num_rows [get_number_of_rows -name $panel_name]

    # Go through all the rows in the report file, including the
    # row with headings, and write out the comma-separated data
    for { set i 0 } { $i < $num_rows } { incr i } {
        set row_data [get_report_panel_row -name $panel_name -row $i]
        puts $fh [join $row_data ","]
    }

    unload_report
    close $fh
}

 

Aquí hay un script que utiliza el procedimiento. Ejecute esto en un indicador de comandos del sistema con el siguiente comando.

load_package report
package require cmdline

proc panel_to_csv { panel_name csv_file } {

    set fh [open $csv_file w]
    load_report
    set num_rows [get_number_of_rows -name $panel_name]

    # Go through all the rows in the report file, including the
    # row with headings, and write out the comma-separated data
    for { set i 0 } { $i < $num_rows } { incr i } {
        set row_data [get_report_panel_row -name $panel_name -row $i]
        puts $fh [join $row_data ","]
    }

    unload_report
    close $fh
}

set options {\
    { "project.arg" "" "Project name" } \
    { "revision.arg" "" "Revision name" } \
    { "panel.arg" "" "Panel name" } \
    { "file.arg" "" "Output file name"} \
}
array set opts [::cmdline::getoptions quartus(args) $options]

project_open $opts(project) -revision $opts(revision)

panel_to_csv $opts(panel) $opts(file)

unload_report

Puede ejecutar este script en un símbolo del sistema con el siguiente comando.

quartus_sh -t script.tcl -project <project name> -revision <revision name> -panel <panel name> -file <file name>

Asegúrese de citar correctamente el argumento del nombre del panel si lo introduce en el indicador de comandos del sistema. Ciertos caracteres, como la barra vertical (|) tienen un significado especial en un shell de comandos.

El contenido de esta página es una combinación de la traducción humana y automática del contenido original en inglés. Este contenido se ofrece únicamente para su comodidad como información general y no debe considerarse completa o precisa. Si hay alguna contradicción entre la versión en inglés de esta página y la traducción, prevalecerá la versión en inglés. Consulte la versión en inglés de esta página.