Comandos de recopilación del analizador de temporización

author-image

Por

Timing Analyzer es compatible con interfaces del programa de aplicaciones de recopilación (API) que proporcionan un fácil acceso a puertos, pines, celdas o nodos en el diseño. Puede utilizar LAS API de colección con cualquier restricción válida o comandos de lenguaje de comandos de herramientas (Tcl) especificados en el analizador de sincronización.

En la Tabla 1 se describen los comandos de colección compatibles con Timing Analyzer.

Para obtener más información sobre las recopilaciones, consulte el capítulo Timing Analyzer o el Manual de referencia de la API del analizador de sincronización y SDC (PDF).

El siguiente ejemplo muestra varios usos de los comandos create_clock y create_generated_clock con comandos de colección.

# Cree una simple 10 ns con un reloj con un ciclo de servicio del 60 %
create_clock -period 10 -waveform {0 6} -name clk [get_ports clk]
# El siguiente multiciclo se aplica a todas las rutas que terminan en registros # sincronizado
por clk set_multicycle_path
-to [get_clocks clk] 2

El contenido de esta página es una combinación de la traducción humana y automática del contenido original en inglés. Este contenido se ofrece únicamente para su comodidad como información general y no debe considerarse completa o precisa. Si hay alguna contradicción entre la versión en inglés de esta página y la traducción, prevalecerá la versión en inglés. Consulte la versión en inglés de esta página.