Timing Analyzer es compatible con interfaces del programa de aplicaciones de recopilación (API) que proporcionan un fácil acceso a puertos, pines, celdas o nodos en el diseño. Puede utilizar LAS API de colección con cualquier restricción válida o comandos de lenguaje de comandos de herramientas (Tcl) especificados en el analizador de sincronización.
En la Tabla 1 se describen los comandos de colección compatibles con Timing Analyzer.
Para obtener más información sobre las recopilaciones, consulte el capítulo Timing Analyzer o el Manual de referencia de la API del analizador de sincronización y SDC (PDF).
Tabla 1. Comandos de recopilación
Comando |
Descripción |
---|---|
all_clocks |
Devuelve una colección de todos los relojes del diseño. |
all_inputs |
Devuelve una colección de puertos de entrada en el diseño. |
all_outputs |
Devuelve una colección de todos los registros del diseño. |
get_cells |
Devuelve una colección de celdas en el diseño. Todos los nombres de celdas de la colección coinciden con el patrón especificado. Se pueden utilizar las acampadas para seleccionar varias celdas al mismo tiempo. |
get_clocks |
Devuelve una colección de relojes en el diseño. Cuando se utiliza como argumento para otro comando, como -from o -to de set_multicycle_path, cada nodo en el reloj representa todos los nodos sincronizados por los relojes de la colección. El valor predeterminado utiliza el nodo específico (incluso si es un reloj) como el objetivo de un comando. |
get_keepers |
Devuelve una colección de nodos keeper (nodos no combinados) en el diseño. |
get_nets |
Devuelve una colección de redes en el diseño. Todos los nombres de red de la colección coinciden con el patrón especificado. Puede utilizar las opciones de valores de acampar para seleccionar varias redes al mismo tiempo. |
get_nodes |
Devuelve una colección de nodos en el diseño. |
get_pins |
Devuelve una colección de pines en el diseño. Todos los nombres de pines de la colección coinciden con el patrón especificado. Puede utilizar las opciones de valores de acampar para seleccionar varios pines al mismo tiempo. |
get_ports |
Devuelve una colección de puertos (entradas y salidas de diseño) en el diseño. |
get_registers |
Devuelve una colección de registros en el diseño. |
El siguiente ejemplo muestra varios usos de los comandos create_clock y create_generated_clock con comandos de colección.
# Cree una simple 10 ns con un reloj con un ciclo de servicio del 60 % create_clock -period 10 -waveform {0 6} -name clk [get_ports clk] # El siguiente multiciclo se aplica a todas las rutas que terminan en registros # sincronizado por clk set_multicycle_path -to [get_clocks clk] 2